Digit-Serial 脉动结构(Systolic)的乘法器
1. 概念的介绍 对于有限域 $GF(2^n)$,设其模多项式为 [m(x) = x^n + \sum_{i=0}^{n-1} m_i x^i \quad (m_i \in {0,1}),] 则满足以下公式: [x^n \mod m(x) = [m(x) - x^n] = \sum_{i=0}^{n-1} m_i x^i] 设有限域 $GF(2^n)$上的任意两个多项式 A(x)、 ...
1. 概念的介绍 对于有限域 $GF(2^n)$,设其模多项式为 [m(x) = x^n + \sum_{i=0}^{n-1} m_i x^i \quad (m_i \in {0,1}),] 则满足以下公式: [x^n \mod m(x) = [m(x) - x^n] = \sum_{i=0}^{n-1} m_i x^i] 设有限域 $GF(2^n)$上的任意两个多项式 A(x)、 ...
1. vivado AXI VIP的简单使用 1.1 时序约束 set_property -dict {PACKAGE_PIN AJ16 IOSTANDARD LVCMOS18} [get_ports "led[0]" #管脚约束 set_input_delay 2 –max –clock sysclk [get_ports Dataln] set_input_delay 1 -mi...
这里有一个cpu的自学者回顾 这里有一个基础的tb的队列比较 长代码直接贴文件 一、STA静态时序分析章节 时序基础–关于$T_{real}(T_q+T_c)、T_{setup},T_{hold}$ 通俗易懂的setup和hold的讲解 Tlogic和代码风格有很大关系,Trouting和布局布线的策略有很大关系。 如果两个时钟周期不一样,这里的$T_{per...
反压的slice设计请参照这篇文章 Outstanding Transfer 不需要等待前一笔传输完成就可以发送下一笔操作 Outstanding的深度 合理选择好outstanding的深度(也叫做Write issuing capability)可以达到最大的带宽(tradeoff),最佳深度的范围在:$\frac{一次transaction传输所需要的时间}{数据传输需要的...
CRC 冗余校验原理_异或计算法 refrence-CRC校验-手算与直观演示 这俩计算好像不太一样,好像方案1更简单 package d2d.common import chisel3._ import chisel3.util._ /** * CRC Generation * **this is because result is inverted,so 0=...
下面是rocket跑在FPGA上的项目 eugene-tarassov/vivado-risc-v: 关于我这FPGA上riscv跑linux的那些事_哔哩哔哩_bilibili 一个正在维护的chisel标准flow chipsalliance/chisel-nix: Nix template for the chisel-based industrial designi...
overview 整个项目结构如下: 有一些空格亮度问题,不一一解决了 code见代码地址 interface 定义接口,并针对不同的模块确定方向 `ifndef GCD_IF__SV `define GCD_IF__SV interface gcd_if(input clk); logic [31:0] opa; logic [31:0] opb; ...
refrence — Constellation文档 NoC 的物理规格本身分为五个部分:topology、Channels、ingresses、egresses、routerParams 物理spec topology PhysicalTopology是一个描述有向图的案例类,其中节点表示路由器,边表示单向通道。 trait PhysicalTopology { // Numb...
refrence_chipyard_Customization 笔者这里实在看不懂,看到一半give up了 有缘再看 RTL的generators 3.1 Rocket Chip 典型 Rocket Chip 系统的详细框图如下所示。 BootROM 包含第一阶段引导加载程序,即系统退出复位后运行的第一条指令。它还包含 Device Tree,Linux 使用它来确定连...
overall CHI的几个重要概念 【CHI】transaction事务汇总 无论是独占状态还是共享状态,缓存里面的数据都是clean,在独占状态下对应的Cache Line只加载到了当前CPU核所拥有的Cache里。其他的CPU核,并没有加载对应的数据到自己的Cache里。这个 时候,如果要向独占的Cache Block写入数据,我们可以自由地写入数据,而不需要告知其他CPU核...